; CLW file contains information for the MFC ClassWizard [General Info] Version=1 LastClass=CK48Dlg LastTemplate=CDialog NewFileInclude1=#include "stdafx.h" NewFileInclude2=#include "k48.h" ClassCount=4 Class1=CK48App Class2=CK48Dlg ResourceCount=4 Resource2=IDR_MAINFRAME Resource3=IDD_K48_DIALOG Resource4=IDD_K48_DIALOG (English (U.S.)) [CLS:CK48App] Type=0 HeaderFile=k48.h ImplementationFile=k48.cpp Filter=N [CLS:CK48Dlg] Type=0 HeaderFile=k48Dlg.h ImplementationFile=k48Dlg.cpp Filter=D BaseClass=CDialog VirtualFilter=dWC [DLG:IDD_K48_DIALOG] Type=1 ControlCount=3 Control1=IDOK,button,1342242817 Control2=IDCANCEL,button,1342242816 Control3=IDC_STATIC,static,1342308352 Class=CK48Dlg [DLG:IDD_K48_DIALOG (English (U.S.))] Type=1 ControlCount=6 Control1=IDOK,button,1342242817 Control2=IDCANCEL,button,1342242816 Control3=IDC_EDIT1,edit,1350631552 Control4=IDC_STATIC,static,1342308352 Control5=IDC_BUTTON1,button,1342242816 Control6=IDC_BUTTON2,button,1342242816